Разработка структуры процессора на основе МПА с жесткой логикой - Курсовая работа

бесплатно 0
4.5 118
Разработка модели процессора, выполняющего набор машинных команд. Структурная схема процессора (операционного и управляющего автоматов), анализ принципа работы. Содержательный алгоритм микропрограммы, синтез управляющего автомата на основе жесткой логики.


Аннотация к работе
ЭВМ с хранимой программой (stored program electronic computer) может быть определена как машина, обрабатывающая данные в соответствии с заранее определенными правилами (инструкциями); при этом программа (набор инструкций) и данные находятся в памяти машины. Устройство управления ЭВМ управляет работой всей машины в целом. После того как устройство управления определит, какую именно команду необходимо исполнить, оно выдает управляющие сигналы, позволяющие открыть и закрыть соответствующие вентили по всей системе, в результате чего данные, представленные в виде электрических сигналов, смогут поступать от одного функционального устройства к другому в процессе исполнения операции, заданной выбранной командой. Как только ALU заканчивает выполнять свою часть в данной операции, устройство управления может выдать необходимую управляющую информацию, разрешающую передачу результатов обратно в память или направляющую эти результаты к какому-нибудь устройству вывода; это делается для того, чтобы зафиксировать результаты на другом носителе, например на диске. Каждая из этих команд представляет собой простое обращение к устройству управления, сделанное для того, чтобы привести в действие встроенные в машину функциональные узлы (сумматор, регистры, счетчики), а также открыть и закрыть определенные вентили в цепях передачи данных; коммутация этих вентилей позволяет вводить в элементарные арифметические и логические функциональные узлы требуемые операнды, причем ввод их осуществляется в определенном порядке и в рамках определенной последовательности машинных циклов.Разработать структурную схему процессора (операционного и управляющего автоматов) для выполнения набора машинных команд. Создать описание процессора на языке VHDL, провести тестирование. Управляющий автомат должен представлять собой устройство с хранимыми в памяти микропрограммами управления ходом выполнения машинных команд. Примечание: - обозначения команд процессора соответствуют мнемокодам языка ассемблера МК51. константа #d размещается в следующем по порядку байте после команды;К недостаткам такой схемы можно отнести низкую по сравнению с многошинными процессорами производительность, так как и для адресации и для передачи данных используется единственная шина. Но в данном случае адресация производится по содержимому программного счетчика, и по шине будет производиться только передача данных. Блок «Memory» содержит последовательность команд, которые принадлежат набору команд, приведенному в предыдущем разделе. Выборка команд из памяти производится следующим образом: адрес команды, содержащийся в программном счетчике, записывается в регистр адреса, а затем выдается на адресные входы блока памяти, что сопровождается выдачей управляющим устройством сигнала чтения из памяти. После того, как выбранная из памяти команда попадает в буферный регистр, она выдается на внутрипроцессорную шину, откуда она записывается в регистр команд (IR).Разработаем формат команды, способный закодировать операции: Код операции Номер РОН Не используютсяОпишем основные алгоритмы выполнения команд в процессоре по тактам с краткими пояснениями к каждой из них: Переход в заданную часть кода (JMP #d) MEMRD, PCINC (чтение из памяти, инкремент программного счетчика) MEMRD, PCINC (чтение из памяти, инкремент программного счетчика) MEMRD, PCINC (чтение из памяти, инкремент программного счетчика) MBROUT, ACCIN, Reset (загрузка из MBR, загрузка в аккумулятор, сброс)Структурная схема управляющего автомата на основе жесткой логики показана на рис.architecture MAR of MAR is signal master, slave: std_logic_vector(7 downto 0); process(master,Clk) begin if Clk="1"then slave<=master after 2ns; process(master,Clk) begin if Clk="1"then slave<=master after 2ns; process(master,Clk) begin if Clk="1"then slave<=master after 2ns; process(master,Clk) begin if Clk="1" then slave<=master after 2ns;component MAR is port(D: in std_logic_vector(7 downto 0); -----------------------------------------------------------component REGI is port(D: in std_logic_vector(7 downto 0); ------------------------------------------------------------component MBR is port(D: in std_logic_vector(7 downto 0); -------------------------------------------------------------component PC is port(D: in std_logic_vector(7 downto 0); signal mem_mbr,BUS1,pc_mar,mar_mem,acc_alu:std_logic_vector(7 downto 0);При выполнении курсовой работы было произведено моделирование процессора с устройством управления на жесткой логике, имеющего ряд специальных регистров, а также четыре регистра общего назначения. Но на ее примере можно усвоить основные принципы построения цифровых вычислительных систем, такие как микропрограммное управление, совместное использование шин процессора различными устройствами со всеми вытекающими отсюда требованиями к организации работы этих устройств: синхронизации, сингулярности передач информации и другими.

План
СОДЕРЖАНИЕ

ВВЕДЕНИЕ

1. Анализ задания и разработка структурной (функциональной) схемы процессора.

2. Общее описание принципа работы процессора.

3. Временные диаграммы, описывающие выполнение микроопераций для каждой команды.

4. Содержательный алгоритм микропрограммы.

5. Синтез управляющего автомата на основе жесткой логики.

6. Создание описания отдельных узлов процессора и всего процессора средствами Active HDL.

7. Тестирование процессора и подтверждение правильности его работы с помощью временных диаграмм.

ВЫВОДЫ

Вывод
При выполнении курсовой работы было произведено моделирование процессора с устройством управления на жесткой логике, имеющего ряд специальных регистров, а также четыре регистра общего назначения. Тестовая программа была успешно выполнена, что вполне свидетельствует о его корректной работе.

Структурная схема, разработанная в этой работе, естественно, не является единственно возможной. Но на ее примере можно усвоить основные принципы построения цифровых вычислительных систем, такие как микропрограммное управление, совместное использование шин процессора различными устройствами со всеми вытекающими отсюда требованиями к организации работы этих устройств: синхронизации, сингулярности передач информации и другими.

Данная схема обладает одной магистралью, она достаточно проста в исполнении, хотя одномагистральная система не всегда позволяет просто реализовать некоторые операции, а именно такая система занимает намного меньше места на печатной плате чем двухмагистральная (и тем более трехмагистральная), что и дает ей преимущества при конструировании небольших устройств.

По диаграмме работы процессора видно, что выполнение команды пересылки занимает в среднем 100 ns, команда сдвига немного больше 125 ns. Полное выполнение всех команд производится за 1050 ns.
Заказать написание новой работы



Дисциплины научных работ



Хотите, перезвоним вам?